Máquinas, cálculos de taller es un manual que contiene equivalencias del sistema inglés al métrico, tablas trigonométricas, datos y fórmulas para engranes entre otros detalles más.
Clic para descargar: Google Drive
>
Máquinas, cálculos de taller es un manual que contiene equivalencias del sistema inglés al métrico, tablas trigonométricas, datos y fórmulas para engranes entre otros detalles más.
Clic para descargar: Google Drive
Al instalar en mi caso el sistema operativo de macOS Catalina en mi MacBook Pro 2012 todo iba muy bien. pero al momento de aceptar los términos y condiciones de Apple ID en el proceso no los pude aceptar y simplemente no me dejaba, bueno luego de probar y probar la solución es más fácil de lo que parece aunque hay dos en mi caso la segunda y más fácil fue la que me funcionó.
La primera solución es conectarte a una red Wifi (En el paso que te pide conectarte a una red wifi) dicha red que no sea de 5GHz sino que sea de 2.4GHz para ello recomiendo que te conectes a los datos de tu celular o a algún modem que tenga los 2.4GHz habilitados luego prueba a poner tus datos de icloud de nuevo y verifica si te deja aceptar los términos, si no ha sido posible ve a la solución 2.
⚡Solución 2
La segunda solución es aún más sencilla bastará con que te saltes el inicio de sesión de apple ID dando clic en "Configurar más tarde" como se puede ver en el siguiente vídeo:
Y eso sería todo, en realidad no es una tarea difícil pero lo que sí es verdad es que muchos usuarios han tenido este mismo problema, por cierto no hay problema con la cuenta de Apple ID está podrá ser configurada una vez terminemos de instalar nuestro sistema operativo por completo.
Hasta la próxima ✋!
A continuación voy a compartir en código VHDL un MUX 4 a 1 con selección de 2 bits, en realidad es bastante fácil de hacer y sobretodo de entender esto hará parte de una serie de post relacionados con VHDL y algunos dispositivos de electrónica digital que pasaremos a código, además haré la respectiva simulación usando el software de vivado creado por Xilink. Para aprender a crear un proyecto nuevo en VHDL con Vivado, da click aquí.
¿No quieres leer? Tenemos lo mismo pero en vídeo míralo
La tabla de verdad y el símbolo de un mux 4 a 1 lo podremos ver en la siguiente imagen:
Tabla de verdad MUX 4 a 1 |
Vamos al código, recordemos que estará relacionado de forma directa con la tabla de verdad por lo cual tenemos lo siguiente:
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux4a1 is port( A,B,C,D : in STD_LOGIC; --entradas S0,S1: in STD_LOGIC; --entradas líneas de selección Z: out STD_LOGIC --salidas ); end mux4a1; architecture Behavioral of mux4a1 is begin process (A,B,C,D,S0,S1) is begin if (S0 ='0' and S1 = '0') then Z <= A; elsif (S0 ='1' and S1 = '0') then Z <= B; elsif (S0 ='0' and S1 = '1') then Z <= C; else Z <= D; end if; end process; end Behavioral;Ahora vamos a comprobar que la tabla de verdad coincide con el código escrito, esto mediante la simulación el código para la simulación es el siguiente:
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity simulacion is -- Port ( ); end simulacion; architecture Behavioral of simulacion is component mux4a1 is port( A,B,C,D : in STD_LOGIC; --entradas S0,S1: in STD_LOGIC; --entradas Z: out STD_LOGIC --salidas ); end component mux4a1; signal A,B,C,D,S0,S1,Z: STD_LOGIC; begin simul: mux4a1 port map(A=>A,B=>B,C=>C,D=>D,S0=>S0,S1=>S1,Z=>Z); ProcX0: process begin S0<='0'; wait for 30ns; S0<='1'; wait for 30ns; end process; ProcX1: process begin S1<='0'; wait for 50ns; S1<='1'; wait for 50ns; end process; ProcX2: process begin A<='1';wait for 10ns; A<='0';wait for 10ns; B<='0';wait for 10ns; B<='1';wait for 10ns; C<='0';wait for 10ns; C<='1';wait for 10ns; D<='0';wait for 10ns; D<='1';wait for 10ns; end process; end Behavioral;
Finalmente al correr la simulación comprobaremos cada uno de los estados de las variables "S1" y "S0" en todas sus combinaciones es decir (00,01,10,11), por lo cual en la salida "Z" deberá mostrarnos el valor asignado a las letras A,B,C,D según lo que diga la tabla de verdad.
Podemos ver que la simulación en cada uno de los estados de "S1" y "S0" cumple la condición de la tabla de verdad, esto afirma que nuestro MUX 4 a 1 funciona al 100%.
¿Alguna duda comenta?
¡Somos Skulltrap Electronics!🎇
Máquinas eléctricas continúa siendo el libro más utilizado para esta
asignatura debido a lo accesible de su lenguaje y a su amplia cobertura
de temas. La escritura clara del autor permite hacer más comprensibles
cada uno de los temas.En esta quinta edición, se usa MATLAB en los
ejemplos y los problemas, donde resulta más apropiado. Continúan
presentes los problemas que han resultado más estimulantes para
profesores y alumnos.La flexibilidad del texto permite abordar,
independientemente, los temas de CA o CD.Se incluyen una gran cantidad
de problemas al final de capítulo; 70% son nuevos o han sido revisados.
Estas revisiones incluyen problemas de motor de inducción basados en
datos de máquinas reales.Se abordan los temas de manera actualizada,
incluyendo una mayor cobertura de las nuevas tendencias en la industria,
tales como el uso de generadores de inducción para las torres de
telefonía celular.Capítulo 1.- Introducción a los principios de las
máquinas.Capítulo 2.- Transformadores.Capítulo 3.- Principios básicos de
las máquinas de corriente alterna (ca).Capítulo 4.- Generadores
síncronos.Capítulo 5.- Motores síncronos.Capítulo 6.- Motores de
inducción.Capítulo 7.- Fundamentos de máquinas de corriente directa
(cd).Capítulo 8.- Motores y generadores de corriente directa.Capítulo
9.- Motores monofásicos y de uso especial.Apéndice A.- Circuitos
trifásicos.Apéndice B.- Paso de bobina y devanados distribuidosApéndice
C.- Teoría de los polos salientes de las máquinas síncronas.Apéndice D.-
Tablas de constantes y factores de conversión.
¡Somos Skulltrap Electronics!
sudo apt-get install idle3
Clic para ampliar |
git clone https://github.com/adafruit/Adafruit_python_DHT.git
cd Adafruit_python_DHT
sudo python3 setup.py install
#!usr/bin/python3
cd examples
sudo ./AdafruitDHT.py 11 4
import Adafruit_DHT import time while True: sensor = Adafruit_DHT.DHT11 #Cambia por DHT22 y si usas dicho sensor pin = 4 #Pin en la raspberry donde conectamos el sensor humedad, temperatura = Adafruit_DHT.read_retry(sensor, pin) print ('Humedad: ' , humedad) print ('Temperatura: ' , temperatura) time.sleep(1) #Cada segundo se evalúa el sensor
ACTUALIZACIÓN 26/10/2022: El tutorial ya no funciona la plataforma Asksensors bajó su calidad y cambió sus métodos de configuración.
Usaremos una plataforma IoT (gratis) para ver desde cualquier parte del mundo el valor que un potenciómetro tiene al variarlo, esto con la tarjeta NodeMcu basada en el ESP8266 conectada a internet.
Module1 creado |
Clic en imagen para ampliar |
Clic en imagen para ampliar |
Nota: Si compraste tu NodeMcu pero es la primera vez que la conectas a tu pc debes instalar el driver de la tarjeta y configurarla con el IDE de Arduino para programarla, da click aquí para ir al tutorial que tenemos para ello.
/*API DESARROLLADA POR AskSensors código traducido y modificado al español por Andrés Lozano de SkullTrap Electronics - www.skulltrap.co*/ #include <Arduino.h> #include <ESP8266WiFi.h> #include <ESP8266WiFiMulti.h> #include <ESP8266HTTPClient.h> // Configuración Inicial const char* wifi_ssid = "NOMBRE RED WIFI"; // SSID - Nombre red Wifi const char* wifi_password = "CLAVE RED WIFI"; // Clave Wifi const char* apiKeyIn = "AQUI VA LA API KEY"; // API KEY IN const unsigned int writeInterval = 300; // Tiempo de actualización (en ms) // Configuración API asksensors String host = "http://api.asksensors.com"; // Host de API ESP8266WiFiMulti WiFiMulti; void setup() { Serial.begin(115200); Serial.println("*****************************************************"); Serial.println("********** Programa Inicial : ESP8266 a AskSensors sobre HTTP"); Serial.println("Esperando WiFi... "); Serial.print("********** Conectando al WiFi : "); Serial.println(wifi_ssid); WiFi.begin(wifi_ssid, wifi_password); while (WiFi.status() != WL_CONNECTED) { delay(500); Serial.print("."); } Serial.println(""); Serial.println("-> Conectado al WiFi"); Serial.println("-> Direccion IP: "); Serial.println(WiFi.localIP()); //Lectura Inicial de Potenciómetro pinMode(A0, INPUT); } void loop() { int POT = analogRead(A0); Serial.print("Pot-5K = "); Serial.println(POT); //Imprimo valor de Potenciómetro // Espera para la conexión WiFi if (WiFi.status() == WL_CONNECTED){ HTTPClient http; Serial.print("[HTTP] Iniciando...n"); // Creo la URL para enviar la petición concatenando los datos String url = ""; url += host; url += "/write/"; url += apiKeyIn; url += "?module1="; url += POT; // Variable del potenciometro concatenada en la URL Serial.print("********** solicitando URL: "); Serial.println(url); http.begin(url); //HTTP Serial.println("> Solicitud enviada a ASKSENSORS"); Serial.print("[HTTP] GET...n"); // Inicio la conexión y envío peticion GET int httpCode = http.GET(); if(httpCode > 0) { Serial.printf("[HTTP] GET... codigo: %dn", httpCode); if(httpCode == HTTP_CODE_OK) { String payload = http.getString(); Serial.println(payload); } } else { Serial.printf("[HTTP] GET... fallido, error: %sn", http.errorToString(httpCode).c_str()); } http.end(); Serial.println("********** Fin "); Serial.println("*****************************************************"); } delay(writeInterval); // Espera el tiempo de actualización }
Como decirle a un amigo "Abre este link y mira como varío un potenciómetro en vivo desde mi casa" y tu amigo está a 100 kilómetros de ti
Cualquier persona con el link verá algo así esté donde esté |